Exemplo n.º 1
0
        public short num_vecinas()
        {
            short cuenta = 0;

            // Verificar las celulas vecinas en un orden de las 8 alrededor de ELLA:
            // XXX
            // XOX
            // XXX
            // Renglon anterior
            if (renglon > 0)
            {
                if (columna > 0 && tablero.cell_in_pos(renglon - 1, columna - 1).estado_actual == Estado.viva)
                {
                    cuenta++;
                }
                if (tablero.cell_in_pos(renglon - 1, columna).estado_actual == Estado.viva)
                {
                    cuenta++;
                }
                if (columna < tablero.num_columnas - 1 && tablero.cell_in_pos(renglon - 1, columna + 1).estado_actual == Estado.viva)
                {
                    cuenta++;
                }
            }
            // Renglon actual
            if (columna > 0 && tablero.cell_in_pos(renglon, columna - 1).estado_actual == Estado.viva)
            {
                cuenta++;
            }
            if (columna < tablero.num_columnas - 1 && tablero.cell_in_pos(renglon, columna + 1).estado_actual == Estado.viva)
            {
                cuenta++;
            }

            // Renglon siguiente
            if (renglon < tablero.num_renglones - 1)
            {
                if (columna > 0 && tablero.cell_in_pos(renglon + 1, columna - 1).estado_actual == Estado.viva)
                {
                    cuenta++;
                }
                if (tablero.cell_in_pos(renglon + 1, columna).estado_actual == Estado.viva)
                {
                    cuenta++;
                }
                if (columna < tablero.num_columnas - 1 && tablero.cell_in_pos(renglon + 1, columna + 1).estado_actual == Estado.viva)
                {
                    cuenta++;
                }
            }
            return(cuenta);
        }
Exemplo n.º 2
0
        public short num_vecinas()
        {   short cuenta = 0;
            // 1 
            if (renglon > 0  && columna > 0)

            return cuenta;
            if(renglon > 0) {
				if(columna > 0 && tablero.cell_in_pos(renglon-1, columna-1).estado_actual == Estado.viva)
					cuenta++;
				if(tablero.cell_in_pos(renglon-1, columna).estado_actual == Estado.viva)
					cuenta++;
				if(columna < tablero.num_columnas-1 && tablero.cell_in_pos(renglon-1, columna+1).estado_actual == Estado.viva)
					cuenta++;
			}

			// Renglon siguiente
			if(renglon < tablero.num_renglones-1)
			{
				if(columna > 0 && tablero.cell_in_pos(renglon+1, columna-1).estado_actual == Estado.viva)
					cuenta++;
				if(tablero.cell_in_pos(renglon+1, columna).estado_actual == Estado.viva)
					cuenta++;
				if(columna < tablero.num_columnas-1 && tablero.cell_in_pos(renglon+1, columna+1).estado_actual == Estado.viva)
					cuenta++;
			}
			return cuenta;
        }