Exemplo n.º 1
0
        public virtual string TransformText()
        {
            this.GenerationEnvironment = null;

            #line 1 ""
            this.Write("");

            #line default
            #line hidden

            #line 6 ""
            this.Write("all: test export\n\ntestbench: ");

            #line default
            #line hidden

            #line 8 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name.ToLower()));

            #line default
            #line hidden

            #line 8 ""
            this.Write("_tb\nexport: ");

            #line default
            #line hidden

            #line 9 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 9 ""
            this.Write(@"_export
build: export testbench

# Use a temporary folder for compiled stuff
WORKDIR=work

# All code should be VHDL93 compliant, 
# but 93c is a bit easier to work with
STD=93c

# Eveything should compile with clean IEEE,
# but the test-bench and CSV util's require
# std_logic_textio from Synopsys
IEEE=synopsys

# VCD trace file for GTKWave
VCDFILE=trace.vcd

");

            #line default
            #line hidden

            #line 27 ""

            var cust_tag = CustomFiles == null || CustomFiles.Count() == 0 ? "" : " custom_files";


            #line default
            #line hidden

            #line 30 ""
            this.Write("\n");

            #line default
            #line hidden

            #line 31 ""
            if (!string.IsNullOrEmpty(cust_tag))
            {
            #line default
            #line hidden

            #line 32 ""
                this.Write("custom_files: $(WORKDIR) ");

            #line default
            #line hidden

            #line 32 ""
                foreach (var file in CustomFiles)
                {
            #line default
            #line hidden

            #line 33 ""
                    this.Write("$(WORKDIR)/");

            #line default
            #line hidden

            #line 33 ""
                    this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 33 ""
                    this.Write(".o ");

            #line default
            #line hidden

            #line 33 ""
                }

            #line default
            #line hidden

            #line 34 ""
                this.Write("\n");

            #line default
            #line hidden

            #line 35 ""
            }

            #line default
            #line hidden

            #line 36 ""
            this.Write("\n$(WORKDIR):\n\tmkdir $(WORKDIR)\n\n$(WORKDIR)/system_types.o: system_types.vhdl $(WO" +
                       "RKDIR)\n\tghdl -a --std=$(STD) --ieee=$(IEEE) --workdir=$(WORKDIR) system_types.vh" +
                       "dl\n\n$(WORKDIR)/Types_");

            #line default
            #line hidden

            #line 43 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 43 ""
            this.Write(".o: Types_");

            #line default
            #line hidden

            #line 43 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 43 ""
            this.Write(".vhdl $(WORKDIR)\n\tghdl -a --std=$(STD) --ieee=$(IEEE) --workdir=$(WORKDIR) Types_" +
                       "");

            #line default
            #line hidden

            #line 44 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 44 ""
            this.Write(".vhdl\n\n");

            #line default
            #line hidden

            #line 46 ""
            foreach (var file in Filenames)
            {
            #line default
            #line hidden

            #line 47 ""
                this.Write("$(WORKDIR)/");

            #line default
            #line hidden

            #line 47 ""
                this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 47 ""
                this.Write(".o: ");

            #line default
            #line hidden

            #line 47 ""
                this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 47 ""
                this.Write(".vhdl $(WORKDIR)/system_types.o $(WORKDIR)/Types_");

            #line default
            #line hidden

            #line 47 ""
                this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 47 ""
                this.Write(".o $(WORKDIR)");

            #line default
            #line hidden

            #line 47 ""
                this.Write(this.ToStringHelper.ToStringWithCulture(cust_tag));

            #line default
            #line hidden

            #line 47 ""
                this.Write("\n\tghdl -a --std=$(STD) --ieee=$(IEEE) --workdir=$(WORKDIR) ");

            #line default
            #line hidden

            #line 48 ""
                this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 48 ""
                this.Write(".vhdl\n");

            #line default
            #line hidden

            #line 49 ""
            }

            #line default
            #line hidden

            #line 50 ""
            this.Write("\n");

            #line default
            #line hidden

            #line 51 ""
            if (!string.IsNullOrEmpty(cust_tag))
            {
            #line default
            #line hidden

            #line 52 ""
                foreach (var file in CustomFiles)
                {
            #line default
            #line hidden

            #line 53 ""
                    this.Write("$(WORKDIR)/");

            #line default
            #line hidden

            #line 53 ""
                    this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 53 ""
                    this.Write(".o: ");

            #line default
            #line hidden

            #line 53 ""
                    this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 53 ""
                    this.Write(".vhdl $(WORKDIR)/system_types.o $(WORKDIR)/Types_");

            #line default
            #line hidden

            #line 53 ""
                    this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 53 ""
                    this.Write(".o $(WORKDIR)\n\tghdl -a --std=$(STD) --ieee=$(IEEE) --workdir=$(WORKDIR) ");

            #line default
            #line hidden

            #line 54 ""
                    this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 54 ""
                    this.Write(".vhdl\n");

            #line default
            #line hidden

            #line 55 ""
                }

            #line default
            #line hidden

            #line 56 ""
            }

            #line default
            #line hidden

            #line 57 ""
            this.Write("\n\n$(WORKDIR)/");

            #line default
            #line hidden

            #line 59 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 59 ""
            this.Write(".o: ");

            #line default
            #line hidden

            #line 59 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 59 ""
            this.Write(".vhdl $(WORKDIR)/system_types.o $(WORKDIR)/Types_");

            #line default
            #line hidden

            #line 59 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 59 ""
            this.Write(".o ");

            #line default
            #line hidden

            #line 59 ""
            foreach (var file in Filenames)
            {
            #line default
            #line hidden

            #line 60 ""
                this.Write("$(WORKDIR)/");

            #line default
            #line hidden

            #line 60 ""
                this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 60 ""
                this.Write(".o ");

            #line default
            #line hidden

            #line 60 ""
            }

            #line default
            #line hidden

            #line 61 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(cust_tag));

            #line default
            #line hidden

            #line 61 ""
            this.Write("\n\n\tghdl -a --std=$(STD) --ieee=$(IEEE) --workdir=$(WORKDIR) ");

            #line default
            #line hidden

            #line 63 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 63 ""
            this.Write(".vhdl\n\n$(WORKDIR)/TestBench_");

            #line default
            #line hidden

            #line 65 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 65 ""
            this.Write(".o: TestBench_");

            #line default
            #line hidden

            #line 65 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 65 ""
            this.Write(".vhdl $(WORKDIR)/");

            #line default
            #line hidden

            #line 65 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 65 ""
            this.Write(".o\n\tghdl -a --std=$(STD) --ieee=$(IEEE) --workdir=$(WORKDIR) TestBench_");

            #line default
            #line hidden

            #line 66 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 66 ""
            this.Write(".vhdl\n\n");

            #line default
            #line hidden

            #line 68 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name.ToLower()));

            #line default
            #line hidden

            #line 68 ""
            this.Write("_tb: $(WORKDIR)/TestBench_");

            #line default
            #line hidden

            #line 68 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 68 ""
            this.Write(".o\n\tghdl -e --std=$(STD) --ieee=$(IEEE) --workdir=$(WORKDIR) ");

            #line default
            #line hidden

            #line 69 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 69 ""
            this.Write("_tb\n\n");

            #line default
            #line hidden

            #line 71 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 71 ""
            this.Write("_export: $(WORKDIR)/");

            #line default
            #line hidden

            #line 71 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 71 ""
            this.Write(".o\n\tghdl -a --std=$(STD) --ieee=$(IEEE) --workdir=$(WORKDIR) Export_");

            #line default
            #line hidden

            #line 72 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 72 ""
            this.Write(".vhdl\n\ntest: ");

            #line default
            #line hidden

            #line 74 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name.ToLower()));

            #line default
            #line hidden

            #line 74 ""
            this.Write("_tb\n\tcp \"");

            #line default
            #line hidden

            #line 75 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.CSVTracename));

            #line default
            #line hidden

            #line 75 ""
            this.Write("\" .\n\tghdl -r --std=$(STD) --ieee=$(IEEE) --workdir=$(WORKDIR) ");

            #line default
            #line hidden

            #line 76 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name));

            #line default
            #line hidden

            #line 76 ""
            this.Write("_tb --vcd=$(VCDFILE)\n\nclean:\n\trm -rf $(WORKDIR) *.o ");

            #line default
            #line hidden

            #line 79 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(RS.Network.Name.ToLower()));

            #line default
            #line hidden

            #line 79 ""
            this.Write("_tb\n\n\n.PHONY: all clean test export build");

            #line default
            #line hidden

            #line 82 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(cust_tag));

            #line default
            #line hidden

            #line 82 ""
            this.Write("\n");

            #line default
            #line hidden
            return(this.GenerationEnvironment.ToString());
        }
Exemplo n.º 2
0
        public virtual string TransformText()
        {
            this.GenerationEnvironment = null;

            #line 1 ""
            this.Write("");

            #line default
            #line hidden

            #line 6 ""
            this.Write("all: build\n\nGPP = g++\nCPPFLAGS = \n\nbuild: ");

            #line default
            #line hidden

            #line 11 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.AssemblyNameToFileName(Network)));

            #line default
            #line hidden

            #line 11 ""
            this.Write("\n\n");

            #line default
            #line hidden

            #line 13 ""

            var cust_tag = CustomFiles == null || CustomFiles.Count() == 0 ? "" : " custom_files";


            #line default
            #line hidden

            #line 16 ""
            this.Write("\n");

            #line default
            #line hidden

            #line 17 ""
            if (!string.IsNullOrEmpty(cust_tag))
            {
            #line default
            #line hidden

            #line 18 ""
                this.Write("custom_files: ");

            #line default
            #line hidden

            #line 18 ""
                foreach (var file in CustomFiles)
                {
            #line default
            #line hidden

            #line 19 ""
                    this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 19 ""
                    this.Write(".o ");

            #line default
            #line hidden

            #line 19 ""
                }

            #line default
            #line hidden

            #line 20 ""
                this.Write("\n");

            #line default
            #line hidden

            #line 21 ""
            }

            #line default
            #line hidden

            #line 22 ""
            this.Write("\n");

            #line default
            #line hidden

            #line 23 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.BusImplementationsFileName(Network)));

            #line default
            #line hidden

            #line 23 ""
            this.Write(".o: SystemTypes.hpp ");

            #line default
            #line hidden

            #line 23 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.BusDefinitionsFileName(Network)));

            #line default
            #line hidden

            #line 23 ""
            this.Write(" ");

            #line default
            #line hidden

            #line 23 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.SharedDefinitionsFileName(Network)));

            #line default
            #line hidden

            #line 23 ""
            this.Write(" ");

            #line default
            #line hidden

            #line 23 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.BusImplementationsFileName(Network)));

            #line default
            #line hidden

            #line 23 ""
            this.Write(".cpp\n\t${GPP} ${CPPFLAGS} -c ");

            #line default
            #line hidden

            #line 24 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.BusImplementationsFileName(Network)));

            #line default
            #line hidden

            #line 24 ""
            this.Write(".cpp\n\n");

            #line default
            #line hidden

            #line 26 ""
            foreach (var file in Filenames)
            {
            #line default
            #line hidden

            #line 27 ""
                this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 27 ""
                this.Write(".o: ");

            #line default
            #line hidden

            #line 27 ""
                this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 27 ""
                this.Write(".cpp ");

            #line default
            #line hidden

            #line 27 ""
                this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 27 ""
                this.Write(".hpp SystemTypes.hpp ");

            #line default
            #line hidden

            #line 27 ""
                this.Write(this.ToStringHelper.ToStringWithCulture(Naming.SharedDefinitionsFileName(Network)));

            #line default
            #line hidden

            #line 27 ""
                this.Write(" ");

            #line default
            #line hidden

            #line 27 ""
                this.Write(this.ToStringHelper.ToStringWithCulture(Naming.BusDefinitionsFileName(Network)));

            #line default
            #line hidden

            #line 27 ""
                this.Write(this.ToStringHelper.ToStringWithCulture(cust_tag));

            #line default
            #line hidden

            #line 27 ""
                this.Write("\n\t${GPP} ${CPPFLAGS} -c ");

            #line default
            #line hidden

            #line 28 ""
                this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 28 ""
                this.Write(".cpp\n");

            #line default
            #line hidden

            #line 29 ""
            }

            #line default
            #line hidden

            #line 30 ""
            this.Write("\n");

            #line default
            #line hidden

            #line 31 ""
            if (!string.IsNullOrEmpty(cust_tag))
            {
            #line default
            #line hidden

            #line 32 ""
                foreach (var file in CustomFiles)
                {
            #line default
            #line hidden

            #line 33 ""
                    this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 33 ""
                    this.Write(".o: ");

            #line default
            #line hidden

            #line 33 ""
                    this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 33 ""
                    this.Write(".cpp SystemTypes.hpp ");

            #line default
            #line hidden

            #line 33 ""
                    this.Write(this.ToStringHelper.ToStringWithCulture(Naming.SharedDefinitionsFileName(Network)));

            #line default
            #line hidden

            #line 33 ""
                    this.Write(" ");

            #line default
            #line hidden

            #line 33 ""
                    this.Write(this.ToStringHelper.ToStringWithCulture(Naming.BusDefinitionsFileName(Network)));

            #line default
            #line hidden

            #line 33 ""
                    this.Write("\n\t${GPP} ${CPPFLAGS} -c ");

            #line default
            #line hidden

            #line 34 ""
                    this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 34 ""
                    this.Write(".cpp\n");

            #line default
            #line hidden

            #line 35 ""
                }

            #line default
            #line hidden

            #line 36 ""
            }

            #line default
            #line hidden

            #line 37 ""
            this.Write("\n");

            #line default
            #line hidden

            #line 38 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.SimulatorFileName(Network)));

            #line default
            #line hidden

            #line 38 ""
            this.Write(".o: ");

            #line default
            #line hidden

            #line 38 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.SimulatorFileName(Network)));

            #line default
            #line hidden

            #line 38 ""
            this.Write(".cpp ");

            #line default
            #line hidden

            #line 38 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.SimulatorFileName(Network)));

            #line default
            #line hidden

            #line 38 ""
            this.Write(".hpp SystemTypes.hpp ");

            #line default
            #line hidden

            #line 38 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.SharedDefinitionsFileName(Network)));

            #line default
            #line hidden

            #line 38 ""
            this.Write(" ");

            #line default
            #line hidden

            #line 38 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.BusDefinitionsFileName(Network)));

            #line default
            #line hidden

            #line 38 ""
            this.Write(" ");

            #line default
            #line hidden

            #line 38 ""
            foreach (var file in Filenames)
            {
            #line default
            #line hidden

            #line 39 ""
                this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 39 ""
                this.Write(".o ");

            #line default
            #line hidden

            #line 39 ""
                this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 39 ""
                this.Write(".hpp ");

            #line default
            #line hidden

            #line 39 ""
            }

            #line default
            #line hidden

            #line 40 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(cust_tag));

            #line default
            #line hidden

            #line 40 ""
            this.Write("\n\t${GPP} ${CPPFLAGS} -c ");

            #line default
            #line hidden

            #line 41 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.SimulatorFileName(Network)));

            #line default
            #line hidden

            #line 41 ""
            this.Write(".cpp\n\n");

            #line default
            #line hidden

            #line 43 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.AssemblyNameToFileName(Network)));

            #line default
            #line hidden

            #line 43 ""
            this.Write(": ");

            #line default
            #line hidden

            #line 43 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.AssemblyNameToFileName(Network)));

            #line default
            #line hidden

            #line 43 ""
            this.Write(".cpp SystemTypes.hpp ");

            #line default
            #line hidden

            #line 43 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.SharedDefinitionsFileName(Network)));

            #line default
            #line hidden

            #line 43 ""
            this.Write(" ");

            #line default
            #line hidden

            #line 43 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.BusDefinitionsFileName(Network)));

            #line default
            #line hidden

            #line 43 ""
            this.Write(" ");

            #line default
            #line hidden

            #line 43 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.BusImplementationsFileName(Network)));

            #line default
            #line hidden

            #line 43 ""
            this.Write(".o ");

            #line default
            #line hidden

            #line 43 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.SimulatorFileName(Network)));

            #line default
            #line hidden

            #line 43 ""
            this.Write(".o ");

            #line default
            #line hidden

            #line 43 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.SimulatorFileName(Network)));

            #line default
            #line hidden

            #line 43 ""
            this.Write(".hpp ");

            #line default
            #line hidden

            #line 43 ""
            foreach (var file in Filenames)
            {
            #line default
            #line hidden

            #line 44 ""
                this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 44 ""
                this.Write(".o ");

            #line default
            #line hidden

            #line 44 ""
                this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 44 ""
                this.Write(".hpp ");

            #line default
            #line hidden

            #line 44 ""
            }

            #line default
            #line hidden

            #line 45 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(cust_tag));

            #line default
            #line hidden

            #line 45 ""
            this.Write("\n\t${GPP} ");

            #line default
            #line hidden

            #line 46 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.AssemblyNameToFileName(Network)));

            #line default
            #line hidden

            #line 46 ""
            this.Write(".cpp ");

            #line default
            #line hidden

            #line 46 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.BusImplementationsFileName(Network)));

            #line default
            #line hidden

            #line 46 ""
            this.Write(".o ");

            #line default
            #line hidden

            #line 46 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Naming.SimulatorFileName(Network)));

            #line default
            #line hidden

            #line 46 ""
            this.Write(".o ");

            #line default
            #line hidden

            #line 46 ""
            foreach (var file in Filenames)
            {
            #line default
            #line hidden

            #line 47 ""
                this.Write(this.ToStringHelper.ToStringWithCulture(file));

            #line default
            #line hidden

            #line 47 ""
                this.Write(".o ");

            #line default
            #line hidden

            #line 47 ""
            }

            #line default
            #line hidden

            #line 48 ""
            this.Write(" -o ");

            #line default
            #line hidden

            #line 48 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Network.Name));

            #line default
            #line hidden

            #line 48 ""
            this.Write("\n\nclean:\n\trm -rf *.o ");

            #line default
            #line hidden

            #line 51 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(Network.Name));

            #line default
            #line hidden

            #line 51 ""
            this.Write("\n\n\n.PHONY: all clean ");

            #line default
            #line hidden

            #line 54 ""
            this.Write(this.ToStringHelper.ToStringWithCulture(cust_tag));

            #line default
            #line hidden

            #line 54 ""
            this.Write("\n");

            #line default
            #line hidden
            return(this.GenerationEnvironment.ToString());
        }